Необязательные порты

Необязательный порт необходим для большей гибкости настроек компонентов. Такой порт можно активировать, даже если к нему не подключена связь (данные на вход не поданы).

Необязательным портом в Loginom может быть только входной порт.

Необязательные порты отображаются пунктиром (цветовая индикация для них аналогична обязательным портам).

В зависимости от структуры принимаемых данных различают следующие типы необязательных портов, каждый из которых обозначается иконкой соответствующей формы:

  •  Табличные данные.
  •  Переменные.

Необязательный порт типа Таблица

Входной порт табличного типа может быть по умолчанию необязательным, например, как у узлов Ассоциативные правила и Кластеризация транзакций, или иметь соответствующую настройку, как у Подмодели.

При настройке Подмодели, для входного порта можно указать свойство Необязательный. В этом случае Подмодель будет успешно активирована, даже если на такой порт не были поданы данные.

Необязательными являются табличные порты у узлов JavaScript, Python, REST-запрос и SOAP-запрос т.к. они могут работать без входных данных.

Необязательный порт типа Переменные

Необязательными портами типа Переменные являются порты Управляющих и Входных переменных.

Необязательные порты Управляющих переменных есть почти у всех узлов, по умолчанию они скрыты. Для их отображения необходимо в контекстном меню узла выбрать пункт Показать порт управляющих переменных. Данные порты позволяют управлять внутренними настройками узла из сценария.

Необязательные порты Входных переменных есть, например, у узлов Выполнение программы, Калькулятор(переменные) и т.д. Они позволяют более гибко настроить взаимодействие между узлами в сценарии.

Публикация узлов с Необязательными портами

Если входной порт опубликованного узла является необязательным, то соответствующий этому порту элемент в запросе к Интегратору также является необязательным. Если этот элемент отсутствует в запросе, то данные на соответствующий порт не подаются. В случае с необязательным портом типа Переменные это означает, что все переменные сохраняют свои значения по умолчанию, которые были указаны на момент публикации пакета.